本文主要是介绍【覆盖率采样 交叉覆盖率_2024.01.29】,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!
bin
记录每个数值被捕捉到的次数,衡量功能覆盖率的基本单位。
域:可能数值的个数
覆盖率:采样值的数目除以bin的数目
若采样变量的域范围过大而又未指定bin,则系统默认分配64个bin,将值域范围平均分配给64个bin。
// bin的数量创建
covergroup covport;option.auto_bin_max = 8; // 所有coverpoint auto_bin数量=8coverpoint tr.port{option.auto_bin_max = 2;} // 特定coverpoint auto_bin数量=2
endgroup
// bin的创建
covergroup CovKind;coverpoint tr.kind {bins zero = {0}; // 1个仓代表kind==0bins lo = {[1:3], 5}; // 1个仓代表1:3和5bins hi[] = {[8:$]}; // 8个独立的仓代表8:15bins misc = default; // 1个仓代表剩余的所有值} // 没有分号
endgroup
// bin的忽略
bit [2:0] low_ports_0_5; // 只使用数值0-5
covergroup CoverPort;coverpoint low_ports_0_5 {ignore_bins hi = {[6,7]}; // 忽略数值6-7}
endgroup
// bin的非法报错
bit [2:0] low_ports_0_5; // 只是用数值0-5covergroup CoverPort;coverpoint low_ports_0_5 {illegal_bins hi = {[6,7]}; // 如果出现6-7便报错}
endgroup
// 条件覆盖率,关键词iff给coverpoint添加条件,也可使用start和stop函数来控制。
covergroup CoverPort;coverpoint port iff(!bus_if.reset);
endgroup
initial begincovport ck = new();#1ns;ck.stop();bus_if.reset = 1;#100ns bus_if.reset = 0;ck.start();...
end
// 翻转覆盖率
covergroup CoverPort;coverpoint port {bins t1 = {(0 => 1), (0 => 2), (0 => 3)};}
endgroup
// Wildcard覆盖率,使用关键字wildcard来创建多个状态或者翻转。
bit [2:0] port;
covergroup CoverPort;coverpoint port {wildcard bins even = {3'b??0};wildcard bins odd = {3'b??1};}
endgroup
交叉(cross)覆盖率
class Transaction;rand bit [3:0] kind;rand bit [2:0] port;
endclass
Transaction tr;
covergroup CovPort;kind: coverpoint tr.kind;port: coverpoint tr.port;cross kind, port;
endgroup
// 排除部分cross bin,使用ignore_bins、binsof和intersect分别指定coverpoint和值域
ignore_bins hi = binsof(port) intersect {7};
ignore_bins md = binsof(port) intersect {0}&&
binsof(kind) intersect {[9:11]};
ignore_bins lo = binsof(kind.lo);
// 精细化的交叉覆盖率
bins a0b0 = binsof(a.a0) && binsof(b.b0);
bins a1b0 = binsof(a.a1) && binsof(b.b0);
bins b1 = binsof(b.b1);
bins a0b0 = binsof(a) intersect {0} && binsof(b) intersect {0};
bins a1b0 = binsof(a) intersect {1} && binsof(b) intersect {0};
bins b1 = binsof(b) intersect {1};
单个实例的覆盖率option.per_instance = 1;
覆盖次数限定option.at_least=2;
覆盖率目标option.goal = 90;
// covergroup方法
sample():采样
get_coverage()/get_inst_coverage():获取覆盖率,返回0-100的real数值。
set_inst_name(string):设置covergroup的名称。
start()/stop():使能或者关闭覆盖率的收集。
这篇关于【覆盖率采样 交叉覆盖率_2024.01.29】的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!